技术中心
 
 

基于CPLD的SGPIO总线实现及应用

 
日期:2015-09-09       大小:0.49M    
分析了SGPIO总线的数据传输机制,用CPLD模拟SGPIO总线协议来实现并行数据的串行传输,并将其与串并数据转换集成芯片进行对比,说明了前者的应用优势,并且指出了其应用场合。采用Lattice Diamond IDE进行了Verilog
本类推荐
推荐下载